Project: sramtest

Download: sramtest.zip

This project tests the SRAM chip on the DE2 board.

KEY3sets the address from the toggle switches. The result is displayed in HEX7:HEX4. The contents of that memory address is shown in HEX3:HEX0.

KEY2writes the data value from the toggle switches to the current address.

Contents

Verilog Files

sramtest.v
hex_7seg.v

Quartus Files

fit.summary
tan.summary

Verilog Files

sramtest.v

module sramtest(
  // Clock Input (50 MHz)
  input  CLOCK_50,
  //  Push Buttons
  input  [3:0]  KEY,
  //  DPDT Switches 
  input  [17:0]  SW,
  //  7-SEG Displays
  output  [6:0]  HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7,
  //  LEDs
  output  [8:0]  LEDG,  //  LED Green[8:0]
  output  [17:0]  LEDR,  //  LED Red[17:0]
  //  SRAM Interface
  inout  [15:0] SRAM_DQ,   // SRAM Data bus 16 Bits
  output [17:0] SRAM_ADDR, // SRAM Address bus 18 Bits
  output SRAM_UB_N,        // SRAM High-byte Data Mask
  output SRAM_LB_N,        // SRAM Low-byte Data Mask 
  output SRAM_WE_N,    // SRAM Write Enable
  output SRAM_CE_N,        // SRAM Chip Enable
  output SRAM_OE_N,        // SRAM Output Enable
  //  GPIO Connections
  inout  [35:0]  GPIO_0, GPIO_1
);

//  set all inout ports to tri-state
assign  GPIO_0    =  36'hzzzzzzzzz;
assign  GPIO_1    =  36'hzzzzzzzzz;

wire RST;
assign RST = KEY[0];

// Turn off green LEDS
assign LEDG[8:0] = 0;

// Connect dip switches to red LEDS
assign LEDR[17:0] = SW[17:0];

reg [15:0] mem_in;
wire [15:0] mem_out;
reg [17:0] mem_address;

assign SRAM_ADDR = mem_address;
assign SRAM_UB_N = 1'b0;        // SRAM High-byte Data Mask
assign SRAM_LB_N = 1'b0;        // SRAM Low-byte Data Mask 
assign SRAM_CE_N = 1'b0;        // SRAM Chip Enable
assign SRAM_OE_N = 1'b0;        // SRAM Output Enable
 

// map to 7-segment displays

hex_7seg dsp0(mem_out[3:0],HEX0);
hex_7seg dsp1(mem_out[7:4],HEX1);
hex_7seg dsp2(mem_out[11:8],HEX2);
hex_7seg dsp3(mem_out[15:12],HEX3);

hex_7seg dsp4(mem_address[3:0],HEX4);
hex_7seg dsp5(mem_address[7:4],HEX5);
hex_7seg dsp6(mem_address[11:8],HEX6);
hex_7seg dsp7(mem_address[15:12],HEX7);

always @(negedge KEY[3])
    mem_address <= SW;

reg state;
parameter idle = 1'b0, write = 1'b1;

assign SRAM_WE_N = (state != write);
assign SRAM_DQ = (state==write? mem_in: 16'hzzzz);

assign mem_out = SRAM_DQ;

always @(posedge CLOCK_50, negedge KEY[2])
if (!KEY[2]) 
  begin
     state <= write;
     mem_in <= SW[15:0];
  end
else state <= idle;
       



endmodule

hex_7seg.v

module hex_7seg(hex_digit,seg);
input [3:0] hex_digit;
output [6:0] seg;
reg [6:0] seg;
// seg = {g,f,e,d,c,b,a};
// 0 is on and 1 is off

always @ (hex_digit)
case (hex_digit)
        4'h0: seg = 7'b1000000;
        4'h1: seg = 7'b1111001;     // ---a----
        4'h2: seg = 7'b0100100;     // |      |
        4'h3: seg = 7'b0110000;     // f      b
        4'h4: seg = 7'b0011001;     // |      |
        4'h5: seg = 7'b0010010;     // ---g----
        4'h6: seg = 7'b0000010;     // |      |
        4'h7: seg = 7'b1111000;     // e      c
        4'h8: seg = 7'b0000000;     // |      |
        4'h9: seg = 7'b0011000;     // ---d----
        4'ha: seg = 7'b0001000;
        4'hb: seg = 7'b0000011;
        4'hc: seg = 7'b1000110;
        4'hd: seg = 7'b0100001;
        4'he: seg = 7'b0000110;
        4'hf: seg = 7'b0001110;
endcase

endmodule

Quartus Compilation Summary

fit.summary

Fitter Status : Successful - Tue Jul 17 12:30:44 2007
Quartus II Version : 6.0 Build 178 04/27/2006 SJ Full Version
Revision Name : sramtest
Top-level Entity Name : sramtest
Family : Cyclone II
Device : EP2C35F672C6
Timing Models : Final
Total logic elements : 75 / 33,216 ( < 1 % )
Total registers : 19
Total pins : 217 / 475 ( 46 % )
Total virtual pins : 0
Total memory bits : 0 / 483,840 ( 0 % )
Embedded Multiplier 9-bit elements : 0 / 70 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )

tan.summary

--------------------------------------------------------------------------------------
Timing Analyzer Summary
--------------------------------------------------------------------------------------

Type           : Worst-case tsu
Slack          : N/A
Required Time  : None
Actual Time    : 5.197 ns
From           : SW[14]
To             : mem_in[14]
From Clock     : --
To Clock       : KEY[2]
Failed Paths   : 0

Type           : Worst-case tco
Slack          : N/A
Required Time  : None
Actual Time    : 9.738 ns
From           : mem_address[8]
To             : HEX6[3]
From Clock     : KEY[3]
To Clock       : --
Failed Paths   : 0

Type           : Worst-case tpd
Slack          : N/A
Required Time  : None
Actual Time    : 11.098 ns
From           : SRAM_DQ[5]
To             : HEX1[6]
From Clock     : --
To Clock       : --
Failed Paths   : 0

Type           : Worst-case th
Slack          : N/A
Required Time  : None
Actual Time    : 0.109 ns
From           : SW[10]
To             : mem_address[10]
From Clock     : --
To Clock       : KEY[3]
Failed Paths   : 0

Type           : Total number of failed paths
Slack          : 
Required Time  : 
Actual Time    : 
From           : 
To             : 
From Clock     : 
To Clock       : 
Failed Paths   : 0

--------------------------------------------------------------------------------------


Maintained by John Loomis, last updated Tue Jul 17 14:46:45 2007